萍聚社区-德国热线-德国实用信息网

 找回密码
 注册

微信登录

微信扫一扫,快速登录

萍聚头条

查看: 649|回复: 1

[电子] 继续请教,这次是关于异步计数器的

[复制链接]
发表于 2005-9-10 12:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册 微信登录

x
请教asynchron Zaehler的原理

比如从5数到11。应该是有几个FF,是不是4个?(2^4

具体连线遵循什么概念?

求教求教。;)

[ 本帖最后由 小红袍 于 2005-9-10 19:01 编辑 ]
Die von den Nutzern eingestellten Information und Meinungen sind nicht eigene Informationen und Meinungen der DOLC GmbH.
发表于 2005-9-12 21:36 | 显示全部楼层

自己看吧

library ieee;
         use ieee.std_logic_1164.all;

entity counter is
   generic (
      NBITS: positive
   );
   port (
      clk, rst_b: in std_logic;
      q: out std_logic_vector(NBITS-1 downto 0)
   );
end entity counter;

architecture async of counter is
   signal clkdiv: std_logic_vector(0 to NBITS);
begin

   clkdiv(0) <= clk;

   div: for i in 0 to NBITS-1 generate

      process (clkdiv(i), rst_b)
      begin
         if rst_b = '0' then
            clkdiv(i+1) <= '1';
         elsif clkdiv(i)'event and clkdiv(i) = '1' then
            clkdiv(i+1) <= not clkdiv(i+1);
         end if;
      end process;
      q(i) <= clkdiv(i+1);

   end generate div;

end architecture async;
该你个例子,自己用MODELSIM模拟一下便知
Die von den Nutzern eingestellten Information und Meinungen sind nicht eigene Informationen und Meinungen der DOLC GmbH.
您需要登录后才可以回帖 登录 | 注册 微信登录

本版积分规则

手机版|Archiver|AGB|Impressum|Datenschutzerklärung|萍聚社区-德国热线-德国实用信息网

GMT+1, 2025-2-1 21:35 , Processed in 0.079156 second(s), 18 queries , MemCached On.

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表